Moteurs Pas-à-Pas

MOT-28BYJ48 Moteur pas à pas avec ULN2003 Pilote

Ceci est une 4 la phase 5 fil moteur 28YBJ-48 DC 5V pas unipolaire avec ULN2003 carte de conducteur.

Le forfait comprend:
1 x ULN2003 carte de conducteur
Moteur pas à pas 1 x 48-28BYJ

Le conseil du pilote de moteur pas à pas ULN2003 vous permet de contrôler facilement le moteur pas à pas 28BYJ-48 à partir d'un microcontrôleur, comme le Arduino Uno.

En savoir plus